Our group actively publishes in the fields of design automation, machine learning, computer architecture, and photonics. Below are a list of recent and selected papers.

Journal Paper

2024

  • [J17] DOCTOR: Dynamic On-Chip Remediation Against Temporally-Drifting Thermal Variations Toward Self-Corrected Photonic Tensor Accelerators
    Haotian Lu, Sanmitra Banerjee and Jiaqi Gu
    arXiv preprint arXiv:2403.02688, Mar. 05, 2024. [Paper]
  • [J16] TeMPO: Efficient Time-Multiplexed Dynamic Photonic Tensor Core for Edge AI with Compact Slow-Light Electro-Optic Modulator
    Meng Zhang*, Dennis Yin*, Nicholas Gangi, Amir Begović, Alexander Chen, Zhaoran Rena Huang and Jiaqi Gu
    arXiv preprint arXiv:2402.07393, Feb. 12, 2024. (*Equal Contribution) [Paper]
  • [J15] M3ICRO: Machine Learning-Enabled Compact Photonic Tensor Core based on PRogrammable Multi-Operand Multimode Interference
    Jiaqi Gu, Hanqing Zhu, Chenghao Feng, Zixuan Jiang, Ray T. Chen and David Pan
    APL Machine Learning, Jan 2024. [Paper] | [Preprint] | [Codes]
  • [J14] Integrated Multi-Operand Optical Neurons for Scalable and Hardware-Efficient Deep Learning
    Chenghao Feng, Jiaqi Gu, Hanqing Zhu, Rongxing Tang, Shupeng Ning, May Hlaing, Jason Midkiff, Sourabh Jain, David Z. Pan and Ray T. Chen
    Nanophotonics, Jan 2023. [Paper]

2022

  • [J13] A compact butterfly-style silicon photonic-electronic neural chip for hardware-efficient deep learning
    Chenghao Feng*, Jiaqi Gu*, Hanqing Zhu, Zhoufeng Ying, Zheng Zhao, David Z. Pan and Ray T. Chen
    ACS Photonics, Nov 30, 2022. (*Equal Contribution). [Paper]
  • [J12] SqueezeLight: A Multi-Operand Ring-Based Optical Neural Network with Cross-Layer Scalability
    Jiaqi Gu, Chenghao Feng, Hanqing Zhu, Zheng Zhao, Zhoufeng Ying, Mingjie Liu, Ray T. Chen and David Z. Pan
    IEEE Transaction on Computer-Aided Design of Integrated Circuits and Systems (TCAD), Jul, 2022. [Paper]
  • [J11] ELight: Towards Efficient and Aging-Resilient Photonic In-Memory Neurocomputing
    Hanqing Zhu, Jiaqi Gu, Chenghao Feng, Mingjie Liu, Zixuan Jiang, Ray T. Chen and David Z. Pan
    IEEE Transaction on Computer-Aided Design of Integrated Circuits and Systems (TCAD), Jun, 2022. [Paper]

2021

  • [J10] Light in AI: Toward Efficient Neurocomputing with Optical Neural Networks - A Tutorial
    Jiaqi Gu, Chenghao Feng, Hanqing Zhu, Ray T. Chen and David Z. Pan
    IEEE Transactions on Circuits and Systems--II: Express Briefs (TCAS-II), Apr. 26, 2022. [Paper]
  • [J9] Toward High‐Speed and Energy‐Efficient Computing: A WDM‐Based Scalable On‐Chip Silicon Integrated Optical Comparator
    Chenghao Feng, Zhoufeng Ying, Zheng Zhao, Jiaqi Gu, David Z. Pan and Ray T. Chen
    Laser & Photonics Reviews, May, 2021. [Paper]

2020

  • [J8] Sequential logic and pipelining in chip-based electronic-photonic digital computing
    Zhoufeng Ying, Chenghao Feng, Zheng Zhao, Jiaqi Gu, Richard Soref, David Z. Pan and Ray T. Chen
    IEEE Photonics Journal, Oct., 2020. [Paper]
  • [J7] Towards Hardware-Efficient Optical Neural Networks: Beyond FFT Architecture via Joint Learnability
    Jiaqi Gu, Zheng Zhao, Chenghao Feng, Zhoufeng Ying, Mingjie Liu, Ray T. Chen and David Z. Pan
    IEEE Transaction on Computer-Aided Design of Integrated Circuits and Systems (TCAD), Sept., 2020. [Paper]
  • [J6] Wavelength-division-multiplexing (WDM)-based integrated electronic–photonic switching network (EPSN) for high-speed data processing and transportation
    Chenghao Feng, Zhoufeng Ying, Zheng Zhao, Jiaqi Gu, David Z. Pan and Ray T. Chen
    Nanophotonics, Sept., 2020. [Paper]
  • [J5] DREAMPlace: Deep Learning Toolkit-Enabled GPU Acceleration for Modern VLSI Placement
    Yibo Lin, Zixuan Jiang, Jiaqi Gu, Wuxi Li, Shounak Dhar, Haoxing Ren, Brucek Khailany and David Z. Pan
    IEEE Transaction on Computer-Aided Design of Integrated Circuits and Systems (TCAD), Jun., 2020. (Best Paper Award) [Paper]
  • [J4] Electronic-photonic Arithmetic Logic Unit for High-speed Computing
    Zhoufeng Ying, Chenghao Feng, Zheng Zhao, Shounak Dhar, Hamed Dalir, Jiaqi Gu, Yue Cheng, Richard Soref, David Pan, and Ray Chen
    Nature Communications, Apr., 2020. [Paper]
  • [J3] ABCDPlace: Accelerated Batch-based Concurrent Detailed Placement on Multi-threaded CPUs and GPUs
    Yibo Lin, Wuxi Li, Jiaqi Gu, Mark Ren, Brucek Khailany and David Z. Pan
    IEEE Transaction on Computer-Aided Design of Integrated Circuits and Systems (TCAD), Feb., 2020. [Paper]

2019

  • [J2] High-resolution Image Reconstruction for Portable Ultrasound Imaging Devices
    Ruoyao Wang, Zhenghan Fang, Jiaqi Gu, Yi Guo, Shicong Zhou, Yuanyuan Wang, Cai Chang and Jinhua Yu
    EURASIP Journal on Advances in Signal Processing, Dec., 2019. [Paper]
  • [J1] Deep Generative Adversarial Networks for Thin-section Infant MR Image Reconstruction
    Jiaqi Gu, Zeju Li, Yuanyuan Wang, Haowei Yang, Zhongwei Qiao and Jinhua Yu
    IEEE Access, May, 2019. [Paper] | [Preprint]

Conference Paper

2024

  • [C61] FPQA-C: A Compilation Framework for Field Programmable Qubit Array
    Hanrui Wang, Pengyu Liu, Bochen Tan, Yilian Liu, Jiaqi Gu, David Z Pan, Jason Cong, Umut Acar and Song Han
    The International Symposium on Computer Architecture (ISCA), Buenos Aires, Argentina, Jun 29 - Jul 03, 2024. [Paper] | [Preprint]
  • [C60] Q-Pilot: Field Programmable Quantum Array Compilation with Flying Ancillas
    Hanrui Wang, Bochen Tan, Pengyu Liu, Yilian Liu, Jiaqi Gu, Jason Cong and Song Han
    ACM/IEEE Design Automation Conference (DAC), San Francisco, CA, Jun 23 - Jun 27, 2024. [Paper]
  • [C59] Foundry Manufactured 6-bit Resolution, 150um Long SlowLight Electro-Optic Modulator for On-Chip Photonic Tensor Computing
    Meng Zhang, Amir Begović, Dennis Yin, Nicholas Gangi, Jiaqi Gu and Rena Huang
    Conference on Lasers and Electro-Optics (CLEO), Charlotte, NC, May 05 - May 10, 2024. [Preprint]
  • [C58] Realization of a Compact Photoelectric Platform for Optical Convolution Processing
    Shupeng Ning, Hanqing Zhu, Chenghao Feng, Christian Uselton, Jiaqi Gu, Rongxing Tang, David Z. Pan and R. T. Chen
    Conference on Lasers and Electro-Optics (CLEO), Charlotte, NC, May 05 - May 10, 2024. [Preprint]
  • [C57] Deep Learning Enhanced Early Detection of Pancreatic Cancer Using Integrated Photonic Chip Based Optical Neural Networks
    Chun-Ju Yang, Hanqing Zhu, Shupeng Ning, Chenghao Feng, Jiaqi Gu, David Z. Pan and Ray T. Chen
    Conference on Lasers and Electro-Optics (CLEO), Charlotte, NC, May 05 - May 10, 2024. [Preprint]
  • [C56] Lightening-Transformer: A Dynamically-operated Optically-interconnected Photonic Transformer Accelerator
    Hanqing Zhu, Jiaqi Gu, Hanrui Wang, Rongxing Tang, Zhekai Zhang, Chenghao Feng, Song Han, Ray T. Chen and David Z. Pan
    IEEE International Symposium on High-Performance Computer Architecture (HPCA), Mar 2 - Mar 6, 2024. [Paper] | [Codes]
  • [C55] A Hardware-Efficient Silicon Electronic-Photonic Chip for Optical Structured Neural Networks
    Shupeng Ning, Jiaqi Gu, Chenghao Feng, Rongxing Tang, Hanqing Zhu, David Z. Pan and Ray T. Chen
    SPIE Photonics West, San Francisco, CA, Jan 30 - Feb 1, 2024. [Paper] | [Slides]

2023

  • [C54] Pre-RMSNorm and Pre-CRMSNorm Transformers: Equivalent and Efficient Pre-LN Transformers
    Zixuan Jiang, Jiaqi Gu, Hanqing Zhu, David Z. Pan
    Conference on Neural Information Processing Systems (NeurIPS), Dec 10 - Dec 16 2023. [Paper] | [Codes]
  • [C53] Deep Learning for Neutron Lifetime Measurement
    Shanny Lin, Steven Clayton, Chenghao Feng, Jiaqi Gu, Christopher Morris, Maninder Singh, Hanqing Zhu, David Z. Pan, Ray T. Chen and Zhehui Wang
    6th Joint Meeting of the APS Division of Nuclear Physics and the Physical Society of Japan, Hawaii, the Big Island, Nov 26 – Dec 1, 2023. [Paper] | [Preprint]
  • [C52] DGR: Tackling Drifted and Correlated Noise in Quantum Error Correction via Decoding Graph Re-weighting
    Hanrui Wang, Pengyu Liu, Yilian Liu, Jiaqi Gu, Jonathan Baker, Frederic T Chong and Song Han
    arXiv preprint arXiv:2311.16214. [Paper] | [Preprint]
  • [C51] Robuststate: Boosting fidelity of quantum state preparation via noise-aware variational training
    Hanrui Wang, Yilian Liu, Pengyu Liu, Jiaqi Gu, Zirui Li, Zhiding Liang, Jinglei Cheng, Yongshan Ding, Xuehai Qian, Yiyu Shi, David Z Pan, Frederic T Chong and Song Han
    arXiv preprint arXiv:2311.16035. [Paper] | [Preprint]
  • [C50] Transformer-QEC: Quantum Error Correction Code Decoding with Transferable Transformers
    Hanrui Wang, Pengyu Liu, Kevin Shao, Dantong Li, Jiaqi Gu, David Z Pan, Yongshan Ding, Song Han
    arXiv preprint arXiv:2311.16082. [Paper] | [Preprint]
  • [C49] Benchmarking the Robustness of Neural Network-based Partial Differential Equation Solvers
    Jiaqi Gu, Mohit Dighamber, Zhengqi Gao and Duane S Boning
    IEEE/ACM International Conference on Computer-Aided Design (ICCAD), Fast Machine Learning for Science Workshop, Nov 2, 2023. [Paper]
  • [C48] QuantumSEA: In-Time Sparse Exploration for Noise Adaptive Quantum Circuits
    Tianlong Chen, Zhenyu Zhang, Hanrui Wang, Jiaqi Gu, Zirui Li, David Z. Pan, Frederic Chong, Song Han and Zhangyang Wang
    International Conference on Quantum Computing and Engineering (QCE), Sep 17 - Sep 22 2023. [Paper]
  • [C47] Integrated Photonics for Computing and Artificial Intelligence
    Chenghao Feng, Shupeng Ning, Jiaqi Gu, Hanqing Zhu, David Z. Pan and Ray T. Chen
    IEEE Photonics Society Summer Topicals Meeting Series (SUM), Jul 17 - Jul 19 2023. [Preprint] | [Paper]
  • [C46] NormSoftmax: Normalizing the Input of Softmax to Accelerate and Stabilize Training
    Zixuan Jiang, Jiaqi Gu and David Pan
    IEEE International Conference on Omni Layer Intelligent Systems (COINS), Jul 23 - Jul 25, 2023. [Paper]
  • [C45] Delving into Effective Gradient Matching for Dataset Condensation
    Zixuan Jiang, Jiaqi Gu, Mingjie Liu and David Pan
    IEEE International Conference on Omni Layer Intelligent Systems (COINS), Jul 23 - Jul 25, 2023. [Paper]
  • [C44] DOTA: A Dynamically-Operated Photonic Tensor Core for Energy-Efficient Transformer Accelerator
    Hanqing Zhu, Jiaqi Gu, Hanrui Wang, Rongxing Tang, Zhekai Zhang, Chenghao Feng, Song Han, Ray T. Chen and David Z. Pan
    Conference on Machine Learning and Systems (MLSys) Systems for Next-Gen AI Paradigms (SNAP) Workshop, Miami, FL, Jun 4 - Jun 8, 2023. [Paper]
  • [C43] Light-AI Interaction: Bridging Photonics and AI with Cross-Layer Hardware-Software Co-Design
    Jiaqi Gu, Chenghao Feng, Hanqing Zhu, Ray T. Chen and David Z. Pan
    Conference on Machine Learning and Systems (MLSys) Systems for Next-Gen AI Paradigms (SNAP) Workshop, Miami, FL, Jun 4 - Jun 8, 2023. [Preprint] | [Slides]
  • [C44] Evaluation of a compact butterfly-style photonic-electronic neural chip on complicated deep learning tasks
    Chenghao Feng, Jiaqi Gu, Hanqing Zhu, Rongxing Tang, David Z. Pan and Ray T. Chen
    Conference on Lasers and Electro-Optics (CLEO), San Jose, CA, May. 07-12, 2023. [Preprint]
  • [C41] Light-AI Interaction: The Convergence of Photonic AI and Cross-layer Circuit-Architecture-Algorithm Co-design
    Jiaqi Gu, Chenghao Feng, Hanqing Zhu, Ray T. Chen and David Z. Pan
    SPIE Photonics West (OPTO), San Francisco, CA, Jan 28 - Feb 2, 2023. [Paper]
  • [C40] Optically-Interconnected, Hardware-Efficient, Electronic-Photonic Neural Network using Compact Multi-Operand Photonic Devices
    Chenghao Feng, Rongxing Tang, Jiaqi Gu, Hanqing Zhu, David Z. Pan, and Ray T. Chen
    SPIE Photonics West (OPTO), San Francisco, CA, Jan 28 - Feb 2, 2023. [Paper]

2022

  • [C39] NeurOLight: A Physics-Agnostic Neural Operator Enabling Parametric Photonic Device Simulation
    Jiaqi Gu, Zhengqi Gao, Chenghao Feng, Hanqing Zhu, Ray T. Chen, Duane S Boning and David Z. Pan
    Conference on Neural Information Processing Systems (NeurIPS), New Orleans, Louisiana, Nov 26 - Dec 4, 2022. (Spotlight) [Paper]
  • [C38] HEAT: Hardware-Efficient Automatic Tensor Decomposition for Transformer Compression
    Jiaqi Gu, Ben Keller, Jean Kossaifi, Anima Anandkumar, Brucek Khailany, David Z. Pan
    Conference on Neural Information Processing Systems (NeurIPS), ML for System Workshop, New Orleans, Louisiana, Nov 26 - Dec 4, 2022. (Spotlight) [Paper]
  • [C37] RobustAnalog: Fast Variation-Aware Analog Circuit Design Via Multi-task RL
    Wei Shi, Hanrui Wang, Jiaqi Gu, Mingjie Liu, David Pan, Song Han and Nan Sun
    ACM/IEEE Workshop on Machine Learning for CAD (MLCAD), Snowbird, Utah, Sept 12 - 13, 2022. [Paper]
  • [C36] Fuse and Mix: MACAM-Enabled Analog Activation for Energy-Efficient Neural Acceleration
    Hanqing Zhu, Keren Zhu, Jiaqi Gu, Harrison Jin, Ray T. Chen, Jean Anne Incorvia and David Z. Pan
    IEEE/ACM International Conference on Computer-Aided Design (ICCAD), Oct 30 - Nov 03, 2022. [Paper]
  • [C35] TorchQuantum Case Study for Robust Quantum Circuits
    HHanrui Wang, Zhiding Liang, Jiaqi Gu, Zirui Li, Yongshan Ding, Weiwen Jiang, Yiyu Shi, David Z. Pan, Frederic T. Chong and Song Han
    IEEE/ACM International Conference on Computer-Aided Design (ICCAD), Oct 30 - Nov 03, 2022. [Paper]
  • [C34] Optoelectronically Interconnected Hardware-Efficient Deep Learning using Silicon Photonic Chips
    Chenghao Feng, Jiaqi Gu, Hanqing Zhu, Zhoufeng Ying, Zheng Zhao, David Z. Pan, and Ray T. Chen
    SPIE PC12007, Optical Interconnects XXII, San Francisco, CA, Mar 5, 2022. [Paper]
  • [C33] Design and Experimental Demonstration of A Hardware-Efficient Integrated Optical Neural Network
    Chenghao Feng, Jiaqi Gu, Hanqing Zhu, David Z. Pan, and Ray T. Chen
    SPIE PC12005, Smart Photonic and Optoelectronic Integrated Circuits, San Francisco, CA, Mar 5, 2022. [Paper]
  • [C32] Multi-Scale High-Resolution Vision Transformer for Semantic Segmentation
    Jiaqi Gu, Hyoukjun Kwon, Dilin Wang, Wei Ye, Meng Li, Yu-Hsin Chen, Liangzhen Lai, Vikas Chandra, and David Z. Pan
    IEEE/CVF Conference on Computer Vision and Pattern Recognition (CVPR), New Orleans, Louisiana, June 21-24, 2022. [Paper] | [Codes] | [Slides] | [Poster]
  • [C31] ADEPT: Automatic Differentiable DEsign of Photonic Tensor Cores
    Jiaqi Gu, Hanqing Zhu, Chenghao Feng, Zixuan Jiang, Mingjie Liu, Shuhan Zhang, Ray T. Chen, and David Z. Pan
    ACM/IEEE Design Automation Conference (DAC), San Francisco, CA, Jul 10-14, 2022. (Best-in-Track Paper) [Paper] | [Codes] | [Slides]
  • [C30] QOC: Quantum On-Chip Training with Parameter Shift and Gradient Pruning
    Hanrui Wang, Zirui Li, Jiaqi Gu, Yongshan Ding, David Z. Pan, and Song Han
    ACM/IEEE Design Automation Conference (DAC), San Francisco, CA, Jul 10-14, 2022. [Paper] | [Codes]
  • [C29] QuantumNAT: Quantum Noise-Aware Training with Noise Injection, Quantization and Normalization
    Hanrui Wang, Jiaqi Gu, Yongshan Ding, Zirui Li, Frederic T. Chong, David Z. Pan, and Song Han
    ACM/IEEE Design Automation Conference (DAC), San Francisco, CA, Jul 10-14, 2022. [Paper] | [Codes]
  • [C28] A Timing Engine Inspired Graph Neural Network Model for Pre-Routing Slack Prediction
    Zizheng Guo, Mingjie Liu, Jiaqi Gu, Shuhan Zhang, David Z. Pan, and Yibo Lin
    ACM/IEEE Design Automation Conference (DAC), San Francisco, CA, Jul 10-14, 2022. (Best-in-Track Paper) [Paper]
  • [C27] QuantumNAS: Noise-Adaptive Search for Robust Quantum Circuits
    Hanrui Wang, Yongshan Ding, Jiaqi Gu, Yujun Lin, David Z. Pan, Frederic T. Chong, and Song Han
    IEEE International Symposium on High-Performance Computer Architecture (HPCA), Feb. 12–16, 2022. [Paper] | [Codes] | [Poster]
  • [C26] ELight: Enabling Efficient Photonic In-Memory Neurocomputing with Life Enhancement
    Hanqing Zhu, Jiaqi Gu, Chenghao Feng, Mingjie Liu, Zixuan Jiang, Ray T. Chen, and David Z. Pan
    ACM/IEEE Asian and South Pacific Design Automation Conference (ASP-DAC), Jan. 17-20, 2022. [Paper] | [Codes]

2021

  • [C25] L2ight: Enabling On-Chip Learning for Optical Neural Networks via Efficient in-situ Subspace Optimization
    Jiaqi Gu, Hanqing Zhu, Chenghao Feng, Zixuan Jiang, Ray T. Chen, and David Z. Pan
    Conference on Neural Information Processing Systems (NeurIPS), Dec. 7-10, 2021. [Paper] | [Codes] | [Slides] | [Poster]
  • [C24] Towards Memory-Efficient Neural Networks via Multi-Level in situ Generation
    Jiaqi Gu, Hanqing Zhu, Chenghao Feng, Mingjie Liu, Zixuan Jiang, Ray T. Chen, and David Z. Pan
    International Conference on Computer Vision (ICCV), Oct. 10-17, 2021. [Paper] | [Codes] | [Slides] | [Poster]
  • [C23] A New Acceleration Paradigm for Discrete Cosine Transform and Other Fourier-Related Transforms
    Zixuan Jiang, Jiaqi Gu, David Z. Pan
    arXiv preprint 2110.01172. [Paper] | [Codes]
  • [C22] Optimizer Fusion: Efficient Training with Better Locality and Parallelism
    Zixuan Jiang, Jiaqi Gu, Mingjie Liu, Keren Zhu, and David Z. Pan
    International Conference on Learning Representations (ICLR) Workshop, Hardware Aware Efficient Training (HAET), May. 07, 2021. [Paper]
  • [C21] Experimental Demonstration of a WDM-based Integrated Optical Decoder for Compact Optical Computing
    Chenghao Feng, Jiaqi Gu, Hanqing Zhu, David Z. Pan, and Ray T. Chen
    Conference on Lasers and Electro-Optics (CLEO), Virtual Conference, May. 11-13, 2021. [Paper]
  • [C20] Integrated Photonics for Computing, Interconnects and Sensing
    Jason Midkiff, Ali Rostamian, Kyoung Min Yoo, Aref Asghari, Chao Wang, Chenghao Feng, Zhoufeng Ying, Jiaqi Gu, Haixia Mei, Ching-Wen Chang, James Fang, Alan Huang, Jong-Dug Shin, Xiaochuan Xu, Michael Bukshtab, David Z. Pan, and Ray T. Chen
    Conference on Lasers and Electro-Optics (CLEO), Virtual Conference, May. 11-13, 2021. [Paper]
  • [C19] Efficient On-Chip Learning for Optical Neural Networks Through Power-Aware Sparse Zeroth-Order Optimization
    Jiaqi Gu, Chenghao Feng, Zheng Zhao, Zhoufeng Ying, Ray T. Chen and David Z. Pan
    Association for the Advancement of Artificial Intelligence (AAAI), Virtual Conference, Feb. 02-09, 2021. [Paper]
  • [C18] Logic Synthesis Meets Machine Learning: Trading Exactness for Generalization
    Shubham Rai, Walter Lau Neto, Yukio Miyasaka, Xinpei Zhang, Mingfei Yu, Qingyang Yi, Masahiro Fujita, Guilherme B. Manske, Matheus F. Pontes, Leomar S. da Rosa Junior, Marilton S. de Aguiar, Paulo F. Butzen, Po-Chun Chien, Yu-Shan Huang, Hoa-Ren Wang, Jie-Hong R. Jiang, Jiaqi Gu, Zheng Zhao, Zixuan Jiang, David Z. Pan, Brunno A. de Abreu, Isac de Souza Campos, Augusto Berndt, Cristina Meinhardt, Jonata T. Carvalho, Mateus Grellert, Sergio Bampi, Aditya Lohana, Akash Kumar, Wei Zeng, Azadeh Davoodi, Rasit O. Topaloglu, Yuan Zhou, Jordan Dotzel, Yichi Zhang, Hanyu Wang, Zhiru Zhang, Valerio Tenace, Pierre-Emmanuel Gaillardon, Alan Mishchenko and Satrajit Chatterjee
    IEEE Design, Automation & Test in Europe Conference & Exhibition (DATE), Virtual Conference, Feb. 01-05, 2021. [Paper]
  • [C17] SqueezeLight: Towards Scalable Optical Neural Networks with Multi-Operand Ring Resonators
    Jiaqi Gu, Chenghao Feng, Zheng Zhao, Zhoufeng Ying, Mingjie Liu, Ray T. Chen and David Z. Pan
    IEEE Design, Automation & Test in Europe Conference & Exhibition (DATE), Virtual Conference, Feb. 01-05, 2021. [Paper] | [Codes] | [Slides]
  • [C16] O2NN: Optical Neural Networks with Differential Detection-Enabled Optical Operands
    Jiaqi Gu, Zheng Zhao, Chenghao Feng, Zhoufeng Ying, Ray T. Chen and David Z. Pan
    IEEE Design, Automation & Test in Europe Conference & Exhibition (DATE), Virtual Conference, Feb. 01-05, 2021. [Paper] | [Slides]
  • [C15] Scalable fast-Fourier-transform-based (FFT-based) integrated optical neural network for compact and energy-efficient deep learning
    Chenghao Feng, Jiaqi Gu, Zhoufeng Ying, Zheng Zhao, David Z. Pan and Ray T. Chen
    SPIE 11690, Smart Photonic and Optoelectronic Integrated Circuits XXIII, 116900I, Mar. 06-11, 2021. [Paper]
  • [C14] Wavelength-division-multiplexing-based electronic-photonic integrated circuits for high-performance data processing and transportation
    Chenghao Feng, Zhoufeng Ying, Zheng Zhao, Jiaqi Gu, David Z. Pan and Ray T. Chen
    SPIE 11690, Smart Photonic and Optoelectronic Integrated Circuits XXIII, 116900R, Mar. 06-11, 2021. [Paper]

2020

  • [C13] DREAMPlace 3.0: Multi-Electrostatics Based Robust VLSI Placement with Region Constraints
    Jiaqi Gu, Zixuan Jiang, Yibo Lin and David Z. Pan
    IEEE/ACM International Conference on Computer-Aided Design (ICCAD), Nov. 02-05, 2020. [Paper] | [Slides]
  • [C12] An Efficient Training Framework for Reversible Neural Architectures
    Zixuan Jiang, Keren Zhu, Mingjie Liu, Jiaqi Gu and David Z. Pan
    European Conference on Computer Vision (ECCV), Aug. 23-28, 2020. [Paper] | [Slides]
  • [C11] FLOPS: Efficient On-Chip Learning for Optical Neural Networks Through Stochastic Zeroth-Order Optimization
    Jiaqi Gu, Zheng Zhao, Chenghao Feng, Wuxi Li, Ray T. Chen and David Z. Pan
    ACM/IEEE Design Automation Conference (DAC), San Francisco, CA, Jul. 19-23, 2020. (Best Paper Finalist) [Paper] | [Poster]
  • [C10] Massive parallelism Fourier-optic convolutional processor
    Mario Miscuglio, Zibo Hu, Shurui Li, Jiaqi Gu, Aydin Babakhani, Puneet Gupta, Chee-Wei Wong, David Pan, Seth Bank, Hamed Dalir, and Volker J. Sorger
    OSA Advanced Photonics Congress (AP) Signal Processing in Photonic Communications (SPPCom), Washington, DC, Jul. 13–16, 2020. [Paper]
  • [C9] Million-channel parallelism Fourier-optic convolutional filter and neural network processor
    Mario Miscuglio, Zibo Hu, Shurui Li, Jiaqi Gu, Aydin Babakhani, Puneet Gupta, Chee-Wei Wong, David Pan, Seth Bank, Hamed Dalir and Volker J. Sorger
    CLEO: Science and Innovations, San Jose, CA, May 10-15, 2020. [Paper]
  • [C8] Integrated WDM-based Optical Comparator for High-speed Computing
    Chenghao Feng, Zhoufeng Ying, Zheng Zhao, Jiaqi Gu, David Z. Pan and Ray T. Chen
    CLEO: Science and Innovations, San Jose, CA, May 10-15, 2020. [Paper]
  • [C7] Compact design of On-chip Elman Optical Recurrent Neural Network
    Chenghao Feng, Zheng Zhao, Zhoufeng Ying, Jiaqi Gu, David Z. Pan and Ray T. Chen
    CLEO: Applications and Technology, San Jose, CA, May 10-15, 2020. [Paper] | [Poster]
  • [C6] ROQ: A Noise-Aware Quantization Scheme Towards Robust Optical Neural Networks with Low-bit Controls
    Jiaqi Gu, Zheng Zhao, Chenghao Feng, Ray T. Chen and David Z. Pan
    IEEE Design, Automation & Test in Europe Conference & Exhibition (DATE), Grenoble, France, Mar. 09-13, 2020. [Paper] | [Slides] | [Poster]
  • [C5] Towards Decrypting the Art of Analog Layout: Placement Quality Prediction via Transfer Learning
    Mingjie Liu, Keren Zhu, Jiaqi Gu, Linxiao Shen, Xiyuan Tang, Nan Sun and David Z. Pan
    IEEE Design, Automation & Test in Europe Conference & Exhibition (DATE), Grenoble, France, Mar. 09-13, 2020. [Paper]
  • [C4] Wavelength-division-multiplexing based electronic photonic network for high speed computing
    Chenghao Feng, Zhoufeng Ying, Zheng Zhao, Jiaqi Gu, David Z. Pan and Ray T. Chen
    SPIE Photonics West: Smart Photonic and Optoelectronic Integrated Circuits XXII, San Francisco, California, United States, Feb. 01-06, 2020. [Paper]
  • [C3] Towards Area-Efficient Optical Neural Networks: An FFT-based Architecture
    Jiaqi Gu, Zheng Zhao, Chenghao Feng, Mingjie Liu, Ray T. Chen and David Z. Pan
    ACM/IEEE Asian and South Pacific Design Automation Conference (ASP-DAC), Beijing, China, Jan. 13-16, 2020. (Best Paper Award) [Paper] | [Slides] | [Poster]

2019

  • [C2] Design Technology for Scalable and Robust Photonic Integrated Circuits
    Zheng Zhao, Jiaqi Gu, Zhoufeng Ying, Chenghao Feng, Ray T. Chen and David Z. Pan
    IEEE/ACM International Conference on Computer-Aided Design (ICCAD), Westminster, CO, Nov. 4-7, 2019. [Paper]

2017

  • [C1] Remote Embedded Simulation System for SW/HW Co-design Based On Dynamic Partial Reconfiguration
    Jiaqi Gu, Ruoyao Wang, Jian Wang, Jinmei Lai and Qinghua Duan
    IEEE International Conference on ASIC (ASICON), Oct. 25-28, 2017. [Paper]